楊金龍,張衛芳,宋紅超
(黑龍江科技大學電氣與控制工程學院,黑龍江 哈爾濱 150022)
異步電動機矢量控制系統設計
楊金龍,張衛芳,宋紅超
(黑龍江科技大學電氣與控制工程學院,黑龍江 哈爾濱 150022)
電壓空間矢量脈寬調制技術廣泛應用于市場中的電機控制系統中。對其進行了詳細的介紹,設計了以Alteral公司的EP4CE15F17C8N芯片為主控芯片的異步電動機矢量控制系統的實驗裝置,對系統的軟件設計及其硬件的組成進行了說明,并通過Matlab/simulink對系統進行建模仿真實驗。結合仿真結果分析,方案達到了預期的目的。
SVPWM;FPGA;矢量控制系統
現代變頻調速系統,因其優質的啟動、調速、制動的性能而被廣泛的應用于各類企業中。提到電壓矢量脈寬調制技術的同時,不得不提到另一項之前廣泛應用的正弦脈寬調試技術(SPWM),后者存在著明顯的缺陷,即對直流電壓的利用率低、由于載波頻率影響造成的較大的開關損耗、電機低速運行時會產生轉矩脈動。設計中使用的 EP4CE15F17C8N是Alteral公司推出的一款Cyclone IV代FPGA。該FPGA具有15408個邏輯單位,516096個RAM位,165個輸入輸出單元,963個LAB/CLB數,可利用的資源豐富,通過SDRAM技術對FPGA進行動態配置。論文介紹了SVPWM的基本原理、算法和如何通過FPGA的實現,并給出了具體的仿真結果。
SVPWM從根本上來講是對開關函數的一種優化,主要是面向以交流電動機為負載的三相對稱系統。總體來說,SVPWM將逆變器與電動機統一為一個整體,通過8個基本的電壓矢量來合成我們希望得到的電壓矢量,并以三相波形整體生成為前提,以電機磁鏈和電壓的關系為原則,以此實現電動機的變頻調速。
2.1 兩電平SVPWM基本原理
三相電壓源逆變器的拓撲圖如圖1所示。一般情況逆變器六拍運行,即含有六個開關管,每相隔60°切換一次,每相橋臂均有開關兩種模式,因此一個周期內共有8種開關狀態,如圖2所示。其中,0的含義為下開關閉合,上開關關斷;1的含義與其相反。其中的6個非零矢量U1(001)~U6(110)空間互差 ,每個扇區由兩個非零矢量組成。U7(111)和U0(000)位于圖形中心,每一個空間矢量的幅值均為2Ud/3。

圖1 三相電壓源逆變器拓撲結構

圖2 SVPWM空間矢量及扇區劃分
2.2 矢量的基本作用時間
現設定參考向量電壓矢量落入區間Ⅱ,可知參考電壓向量Uref由U4、U5和兩個零矢量電壓U0、U7合成,如圖2所示,由此可知,合成向量需滿足下列條件:
(1)
T=Tx+Ty+Tz
(2)
式中,Tx、Tv、Tz分別表示U4、U5作用時間和開關截至的時間,三項的和T表示采樣周期,其值相當于一半的切換周期。
由此可得Tx和Ty。
(3)
(4)
由此可計算得,當Uref出現在其他扇區的電壓空間矢量時,與之對應的Tx和Tv如表1所示,同時為了達到減少器件的開關次數的目的,由式(1)和式(2)可知,使U0和U7各占一半的時間即
(5)
2.3 扇區判斷的方法
與此同時,我們便需要確定Uref所處的扇區,如圖2所示以及Uref在α-β坐標系上的分量,通過下列式子進行計算S0、S1、S2:
S0=Uβ
(6)
S1=sin60°Uα-sin30°Uβ
(7)
S2=-sin60°Uα-sin30°Uβ
(8)
因此扇區號Sec:
Sec=4sign(S2)+2sign(S1)+sign(S0)
(9)
根據Sec值并結合表1,即可確定扇區號。

表1 Sec值與扇區號的關系
3.1 系統硬件結構
該電路硬件整體可分為兩個模塊,一個是主電路模塊,另一個是控制模塊。主電路模塊包括整流電路,濾波電路和IPM模塊構成的逆變模塊,控制模塊是基于FPGA(EP4CE15F17C8N)為核心的控制電路,還包括電流檢測模塊,光電編碼器充當的轉速檢測模塊,光耦6N137組成的隔離電路,反相器DM74LS563構成的反相器電路對信號進行反向。系統調節方式采用電流內環,轉速外環的雙閉環模式,其結構框圖如圖3所示。

圖3 系統硬件結構圖
3.2 Matlab/Simulink的模型建立與仿真
系統整體仿真模型如圖4所示,仿真模型中SVPWM生成模塊圖和扇選擇模塊圖分別如圖5和圖6所示,經仿真后逆變器輸出線電壓和相電壓的波形如圖7和圖8所示。

圖4 系統整體仿真模型

圖5 SVPWM生成模塊圖

圖6 扇區選擇模塊
具體仿真結果如下所示。

圖7 輸出線電壓波形圖

圖8 輸出相電壓波形圖
給定轉速條件下,空載起動,在t=0.1s 時,負載Tm 從 0 變化到 11.3N*M 時的線電壓、相電壓、轉矩、轉速的波形圖如圖 9所示,仿真時間是 0.5s。

圖9
由仿真結果可知,電機響應速度良好,進一步證實了模型的正確性和理論的可行性。
3.3 FPGA設計實現
依據以上理論分析和仿真分析,通過FPGA變成進行功能實現:FPGA程序通過原理圖編寫,將研究內容劃區域判斷模塊,數據所存模塊,時間分配模塊,死區模塊,開關序列生成模塊等,研究采用Alteral公司FPGA作為主控芯片,并借助Quartus軟件對程序進行編程實現,下附部分程序:
component sector_scan is
port(
-- input port
clk : in std_logic;
reset : in std_logic;
en : in std_logic;
ma : in std_logic_vector(alfa_beta_width-1 downto 0);
mb : in std_logic_vector(alfa_beta_width-1 downto 0);
syn_pulse : in std_logic;
-- output port
ta : out std_logic_vector(alfa_beta_width-1 downto 0);
tb : out std_logic_vector(alfa_beta_width-1 downto 0);
Vx: out std_logic_vector(2 downto 0);
Vy: out std_logic_vector(2 downto 0)
);
end component;
系統運用電壓空間矢量脈寬調制技術為核心控制技術,運用FPGA對控制策略進行實現,程序采用的是VHDL語言進行編寫,系統仿真基于Matlab和Quartus平臺,對變頻調速系統進行了詳細的仿真,仿真結果顯示證明了方案的可靠性和可行性。
[1] 譚國俊,張旭隆,曾言敬,等.基于DSP的異步電動機SVPWM變頻調速系統的設計[J] .工礦自動化,2009(2):19-22.
[2] 曾允文.變頻調速SVPWM技術的原理、算法與應用[M].北京:機械工業出版社,2010:10.
[3] 陳兮,周鳳星,張坤.SVPWM變頻技術理論建模與控制算法FPGA實現[J] .組合機床與自動化加工技術,2014(3):86-89.
[4] 洪乃剛.電力電子、電機控制系統的建模與仿真[M].北京:機械工業出版社,2010:1.
[5] 沈濤,李橋梁.基于SVPWM的永磁同步電機控制系統的仿真研究[J].電氣開關,2008(1):19-21.
Design of the Vector Control System for A Synchronous Motor
YANGJin-long,ZHANGWei-fang,SONGHong-chao
(School of Electrical and Control Engineering of HUST,Harbin 150022,China)
In the power transmission control system for the market,the SVPWM is widely used.The paper analyzed the principle of Space vector pulse width modulation,designed SVPWM frequency conversion speed regulation system which took EP4CE15F17C8N of Alteral chip as controller,introduced the software realization and the hardware structure of the system,built up simulation model of the system in the Matlab software.Combine with the result of the simulation,this scheme contains the advantage of the SVPWM and FPGA,has important application value in the motion control system.
SVPWM;FPGA;vector control system
1004-289X(2015)05-0012-04
黑龍江省教育廳項目
TM34
B