999精品在线视频,手机成人午夜在线视频,久久不卡国产精品无码,中日无码在线观看,成人av手机在线观看,日韩精品亚洲一区中文字幕,亚洲av无码人妻,四虎国产在线观看 ?

平方根升余弦濾波器的FPGA實現

2012-04-12 00:00:00王志遠
中國新技術新產品 2012年6期

摘要:通信系統中使用根升余弦濾波器作為成型濾波器,使系統滿足奈奎斯特準則。隨著濾波器工作頻率的不斷提高,使用DSP實現已經不太現實,而FPGA的成本不斷降低,采用FPGA設計工作在高頻率的濾波器更加適合。本文介紹了一種根升余弦濾波器的FPGA實現方法。

關鍵詞:數學濾波;平方根;余波

中圖分類號:TB535+.2文獻標識碼:A

1 基本流程及功能指標

平方根升余弦FIR濾波器的設計,首先要得出濾波器系數。使用Matlab中的rcosine函數得出濾波器系數,之后編寫VHDL程序,進行波形仿真,驗證結果是否符合要求。

平方根升余弦濾波器設計目標:

濾波器類型:平方根升余弦FIR濾波器;階數:32;信號傳輸速率:8.448Mbps;過采樣點數:4Point/bit;升余弦系數:0.6。

2 基本原理

在實際通信系統中,如果用升余弦濾波器做奈奎斯特濾波器,一般發送端的成型濾波器和接收端的匹配濾波器都采用平方根升余弦滾降濾波器。

數字濾波器的系統函數可以表示為:

直接得出表示輸入輸出關系的常系數線性差分方程為:

由此可以知道數字濾波器是把輸入序列經過一定的運算變換成輸出序列。一般普通的數字濾波器是線性時不變(LTI)濾波器,對于因果的 FIR 系統,系統函數僅有零點(除 z=0 的極點外),并且系數 a k全為零,所以上式簡化為:

可以認為是 x(n)與單位脈沖相應 h(n)的直接卷積。階數為 N 的 FIR 濾波器是數學表達式為:

其系統函數為:

其中h(n)為第 n 級系數,x(n-k)為延時 n 階的輸入信號。

3 實現結構

由于系數是對稱的,即 h(n)=h(N-n),可得

4 Matlab仿真

調用 matlab 中的 rcosine 計算濾波器的系數,程序如下:

clear;

clc;

close all;

%% 計算系數

lpf=rcosine(1,4,'sqrt',0.6,4);

figure(1)

stem(lpf)

xlabel('n');

title('平方根升余弦濾波器沖擊響應');

xlabel('n');

ylabel('h(n)');

%% 頻率響應

a=[1];

[h,f]=freqz(lpf,a,33,4);

mag=abs(h);

figure(2)

plot(f,mag);

grid on

xlabel('頻率(Hz)');

ylabel('幅頻特性');

%% 濾波器系數量化

maxh=max(lpf);

%9位量化

N_9=511/maxh;

b=round(N_9*lpf);

………(部分代碼略)

5 FPGA實現

根據實現原理,FPGA實現平方根升余弦濾波器的實質就是乘法累加器,代碼如下:

library IEEE;

use IEEE.STD_LOGIC_1164.all;

use IEEE.STD_LOGIC_arith.all;

use ieee.std_logic_signed.all;

library lpm;

use lpm.all;

entity filter_8xrec is

generic(

tap:integer:=31;

datain_len:integer:=10;

coeff_len:integer:=10;

dataout_len:integer:=25);

port(

clock:in std_logic;

sclr:in std_logic;

clk_ena:in std_logic;

data_ena:in std_logic;

datain:in std_logic_vector(datain_len-1 downto 0);

dataout:out std_logic_vector(dataout_len-1 downto 0));

end filter_8xrec;

architecture arch of filter_8xrec is

COMPONENT lpm_mult

…………..(部分代碼略)

6 FPGA仿真

設定輸入后,在 modelsim 中的仿真結果如下

從仿真結果圖中可以看出,由于沒有對累加輸出進行截斷,輸出位數為 25 位,并用輸入值進行計算,可得仿真結果正確在 quartus 中綜合報告的結果如下:

若將仿真結果中的輸入、輸出數據用圖形表示,則如下所示:

結論

本方法采用Matlab仿真設計,VHDL實現并使用Altera公司的FPGA進行驗證,設計達到預定要求。經與我單位傳統設計比較(DSP實現),系統效率有了大幅度提升,表明此種FIR濾波器的實現方法高效可行。

參考文獻

[1]山蕊,將林,杜慧敏,平方根升余炫濾波器的設計與EPGA實現.

主站蜘蛛池模板: 99免费视频观看| 国产自无码视频在线观看| AV不卡无码免费一区二区三区| 曰AV在线无码| 国产麻豆aⅴ精品无码| 国产亚洲欧美在线中文bt天堂| 99视频在线看| 国产一区二区影院| 中文字幕久久波多野结衣 | 国产在线欧美| 亚洲AⅤ综合在线欧美一区| 国产美女在线观看| 超清人妻系列无码专区| 国产亚洲精品在天天在线麻豆 | 国产91小视频在线观看| 亚洲国产AV无码综合原创| 日韩av在线直播| 欧美自慰一级看片免费| 欧美精品高清| 美女国产在线| 久久综合九色综合97婷婷| 国产国拍精品视频免费看 | 99精品福利视频| 无码中文字幕乱码免费2| 亚洲制服中文字幕一区二区| 久久亚洲国产最新网站| 国产欧美在线观看精品一区污| 午夜国产理论| 日本国产一区在线观看| 精品国产黑色丝袜高跟鞋 | 中文字幕欧美成人免费| 亚洲欧美精品一中文字幕| 国产色网站| 国产麻豆91网在线看| 久久这里只有精品8| 日韩精品久久久久久久电影蜜臀| 91九色国产porny| 成年人免费国产视频| 精品人妻一区二区三区蜜桃AⅤ| 国产91在线|日本| 久久久噜噜噜| 国产精品自拍合集| 亚洲日韩高清在线亚洲专区| 国产精品女在线观看| 亚洲激情99| 99热这里只有精品免费| 凹凸国产熟女精品视频| 欧美精品xx| 国产高清在线丝袜精品一区| 一本视频精品中文字幕| 午夜视频www| 超碰91免费人妻| 精品欧美日韩国产日漫一区不卡| 精品久久综合1区2区3区激情| 久久不卡国产精品无码| av天堂最新版在线| 国产精品分类视频分类一区| 狠狠干欧美| 91原创视频在线| 精品福利视频导航| 孕妇高潮太爽了在线观看免费| 波多野结衣一区二区三区四区 | 啊嗯不日本网站| 51国产偷自视频区视频手机观看| 色综合日本| 国产成人综合亚洲欧美在| 久久久久久久97| 久久6免费视频| 成人亚洲视频| 国内精品久久人妻无码大片高| 欧美亚洲综合免费精品高清在线观看 | 欧美激情伊人| 欧美日本在线播放| 欧美一级特黄aaaaaa在线看片| 亚洲精品不卡午夜精品| 无码视频国产精品一区二区| 午夜国产不卡在线观看视频| 四虎精品免费久久| P尤物久久99国产综合精品| 最新亚洲人成无码网站欣赏网| 广东一级毛片| 亚洲天堂免费|